Enhancing Energy Efficiency in Temperature Controlled Dynamic Scheduling Technique for Multi Processing System on Chip

Authors

  • Muhammad Yousaf Ali Khan Gomal University

DOI:

https://doi.org/10.30537/sjet.v2i2.477

Keywords:

Storm, Atmi, Dynamic Power Management, Dynamic Thermal Management,

Abstract

Microprocessors design consist of many micro level chips that reaches to a state where thermal upsurge occurs due to rapid processing of data and effect (reduce) their efficiency in many different aspects. That production of heat can cause disintegration which makes the chips disable of doing many function they are assign to perform. Embedded devices are designed to combine hardware and software, software integration can insert to hardware to perform some specific function. Multicore embedded devices are in different shapes and dimension. It has various applications on larger scale in networking and nuclear powerhouses to small multimedia players printers, automobiles, cameras mobile handset due to higher demand of power the energy becomes the major concern of the multicore devices for this a thermal aware scheduling algorithm has been proposed that consider the migration of load from higher state to that of lower state and considers all type of tasks and forecast them according to the priority by maintaining the previous history. The proposed technique also considers various thermal values by consulting the previous priorities of task on multicore systems. Migration policy is used to share load from one core to another the algorithm efficiently decreases almost 3℃ temperatures at 40% utilization and the energy utilization is 221.3 J which is 3.12 % improved as compare to the global EDF

Downloads

Download data is not yet available.

References

[1] Matt Bach. How ambient temperatures affect your pc. Temperatures-Affect-Your-PC-158/, Written on August 15, 2012.

[2] Shekhar Borkar. Design challenges of technology scaling. IEEE Micro, 19(4):23–29, July 1999.

[3] Thidapat Chantem, Xiaobo Sharon Hu, and Robert P. Dick.Temperature-aware scheduling and assignment for hard real-time applications on mpsocs. IEEE Trans. VLSI Syst., 19(10):1884–1897, 2011.

[4] Jian-Jia Chen, Shengquan Wang, and Lothar Thiele. Proactive speed scheduling for real-time tasks under thermal constraints. In Proceedings of the 2009 15th IEEE Symposium on Real-Time and Embedded Technology and Applications, RTAS ’09, pages 141–150, Washington,DC, USA, 2009. IEEE Computer Society.

[5] H. Khan, M. U. Hashmi, Z. Khan, R. Ahmad, and A. Saleem, “Performance Evaluation for Secure DES-Algorithm Based Authentication & Counter Measures for Internet Mobile Host Protocol,” IJCSNS Int. J. Comput. Sci. Netw. Secur. VOL.18 No.12, December 2018, vol. 18, no. 12, pp. 181–185, 2018.

[6] Farzan Fallah and Massoud Pedram. Standby and active leakage current control and minimization in cmos vlsi circuits. IEICE Transactions, 88- C(4):509–519, 2005.

[7] Stephen H. Gunther, Frank Binns, Douglas M.
Carmean, and Jonathan C. Hall. Managing the impacincreasing microprocessor power consumption. (Q1):9, February 2001.

[8] H. Khan, M. U. Hashmi, Z. Khan, and R. Ahmad, “Offline Earliest Deadline first Scheduling based Technique for Optimization of Energy using STORM in Homogeneous Multi- core Systems,” IJCSNS Int. J. Comput. Sci. Netw. Secur. VOL.18 No.12, December 2018, vol. 18, no. 12, pp. 125–130, 2018.

[9] Khan, H., Bashir, Q., & Hashmi, M. U. (2018). Scheduling based energy optimization technique in multiprocessor embedded systems. 2018 International Conference on Engineering and Emerging Technologies (ICEET).doi:10.1109/iceet1.2018.8338643

[10] Joohno Kong, Sung Woo Chung, Kevin Skadron, “Recent Thermal Management Techniques for Microprocessors" ACM Computing Surveys, Vol. 44, No. 3, Article 13, pp. 13:1-13:42, June 2012

[11] Mehdi Kamal, A. Iranfar, A. Afzali-Kusha, M.Pedram,"A Thermal Stress-aware Algorithm for Power and Temperature Management of MPSoCs" In EDAA, 2015.
.
[12] Q. Bashir, H. Khan, M. U. Hashmi, and S. Ali zamin, “A Survey on Scheduling Based Optimization Techniques in Multi-Processor Systems,” in Proceedings of the 3rd International Conference on Engineering & Emerging Technologies (ICEET), Superior University, Lahore, PK, 7-8 April, 2016., 2016.

[13] T. S. Rosing, K. Mihic, G. De Micheli, "Power and Reliability Management of SoCs,"In IEEE Transaction Very Large Scale Integrated System (VLSI), vol. 15. no.4, pp.391-403, 2007.

[14]Pratyush Kumar,Lothar Thiele,"Thermally Optimal Stop-GO Scheduling of Task Graphs with Real Time Constraints,"In ASP-DAC, IEEE Press, pp. 123-128, 2011.

[15] Alexandru Andrei, Petru Eles, Zebo Peng, Marcus T. Schmitz, Bashir M. Al Hashimi, "Energy optimization of multiprocessor systems on chip by voltage selection," IEEE Transaction on VLSI, vol 50, no.3, 2007.

[16] "1965 – "Moore's Law" Predicts the Future of Integrated Circuits". Computer History Museum, 2007
[17] C. J. Lasance, "Thermally Driven Reliability Issues in Microelectronic Systems: Status-quo and Challenges". Microelectronics Reliability, pp. 1969–1974, December 2003.

[18] H. Khan, Q. Bashir, and M. U. Hashmi, “Scheduling based Energy Optimization Technique in multiprocessor Embedded Systems,” in 2018 International Conference on Engineering and Emerging Technologies (ICEET). doi:10.1109/iceet1.2018.8338643, 2018.
[19] H. Khan, S. Ahmad, N. Saleem, M. U. Hashmi, and Q. Bashir, “Scheduling Based Dynamic Power Management Technique for offline Optimization of Energy in Multi Core Processors,” Int. J. Sci. Eng. Res. Vol. 9, Issue 12, December-2018, vol. 9, no. 12, pp. 6–10, 2018.

[20] G. Petrone, G. Seagnuolo, R. Teodorescu, '"Reliability Issues In Photovoltaic Power Processing Systems", IEEE Transactions, July, 2008.

Downloads

Published

2020-01-18